Реклама ⓘ
Главная » Программы
Призовой фонд
на апрель 2024 г.
1. 100 руб.
От пользователей

Реклама ⓘ

Delta Design HDL Simulator

Программное обеспечение, представляющее собой симулятор работы цифровой аппаратуры.

Рабочее окно программы Delta Design HDL Simulator

Основное назначение программы Delta Design HDL Simulator – симуляция, верификация и валидация программных и аппаратных команд, написанных на языке VHDL. Данное программное обеспечение просто в обращении, удобно для начинающих разработчиков и является одной из частей единой среды под названием Delta Design.

Рабочие проекты состоят из VHDL-файлов, создание и правка которых выполняется во встроенном редакторе. После написания кода запускаются процессы компиляции и моделирования. Порядок следования файлов не имеет значения, а компиляция многопоточна. Важной особенностью программного обеспечения Delta Design HDL Simulator является быстрый ответ при старте симуляции, без длительного ожидания окончания компиляции проекта.

Временные диаграммы сигналов отображаются в окне встроенного виртуального осциллографа в режиме он-лайн, позволяя «на лету» выявлять баги. Все объявленные в проекте сигналы автоматически добавляются в осциллограф. Кроме того осциллограф имеет широкие настройки масштабирования результатов по времени.

В программе предусмотрены возможности хранения, импорта/эспорта, структурирования рабочих проектов, а также результатов симуляций. Стоит отметить наличие системы контроля версий, возможность отладки до окончания процесса симуляции, пользовательскую настройку используемого набора инструментов, панелей и окон, удобную организацию работы с файлами исходного кода.

Программа Delta Design HDL Simulator не является готовым, полностью законченным продуктом. В настоящее время данное ПО находится на стадии бета-тестирования и отладки. В симулятор планируется добавление таких возможностей как: моделирование аналоговых процессов (VHDL-AMS); поддержка высокоуровневых языков программирования, Verilog и SystemVerilog; интеграция с пакетом Delta Design Schematic; совместное проектирование/моделирование HDL с прошивками для микроконтроллерных (встраиваемых) систем.

Окно осциллографа в программе Delta Design HDL Simulator

Программа Delta Design HDL Simulator была написана специалистами отечественной компании Эремекс, расположенной в Москве. Данная организация уже много лет занимается проблемами создания конкурентоспособных программных продуктов в сфере автоматизации процессов разработки плат. К числу известных изделий компании Эремекс относится высокопроизводительный топологический трассировщик TopoR, пакет SPICE-моделирования электронных схем SimOne и операционная система для микроконтроллерных устройств FX-RTOS.

Бета-версия приложения Delta Design HDL Simulator распространяется совершенно свободно. Процесс установки симулятора протекает в автоматическом режиме, однако в конце потребуется заполнить онлайн-анкету о подтверждении участия в бета-тестировании системы. После этого на указанную почту будет выслан код бета-тестера, необходимый для завершения инсталляции программы. В настоящий момент ПО не имеет пользовательского руководства.

Интерфейс программы Delta Design HDL Simulator представлен на английском языке.

Приложение предназначено для работы в 32- или 64-разрядных операционных системах Microsoft Windows – XP, 2000, Vista, 7 и 8, поддержка Linux не планируется.

Распространение программы: бесплатная.

Официальный сайт Delta Design HDL Simulator:

Скачать Delta Design HDL Simulator

Обсуждение программы на форуме

Комментарии (0) | Подписаться

Статью еще никто не комментировал. Вы можете стать первым.
Добавить комментарий
Имя:
E-mail:
не публикуется
Текст:
Защита от спама:
В чем измеряется электрическое сопротивление?
Файлы:
 
Для выбора нескольких файлов использйте CTRL

USB-реле (2 канала)
USB-реле (2 канала)
Мультиметр DT9205A 200 Вт усилитель класса D на IRS2092
вверх